Контакти

Простий цифровий частотомір своїми руками. Простий цифровий частотомір. Цей пристрій виконує функції

Схема дуже простого цифрового частотоміра на зарубіжній елементній базі

Доброго дня, шановні радіоаматори!
Вітаю вас на сайті

У цій статті на сайті Радіоаматорми розглянемо чергову просту радіоаматорську схемучастотомір. Частотомір зібраний на зарубіжній елементній базі, яка часом буває доступнішою за вітчизняну. Схема проста та доступна для повторення початківцю радіоаматору.

Схема частотоміра:

Частотомірвиконаний на вимірювальних лічильниках HFC4026BEY, мікросхемах серії CD40 та семисегментних світлодіодних індикаторах із загальним катодом HDSP-H211H. При напрузі джерела живлення частотомір 12 вольт може вимірювати частоту від 1 Гц до 10 МГц.

Мікросхема HFC4026BEY є представником високошвидкісної КМОП логіки та містить десятковий лічильник та дешифратор для семисегментного. світлодіодного індикатораіз загальним катодом. Вхідні імпульси подаються на вхід "С", який має тригер Шмітта, що дозволяє спростити схему вхідного формувача імпульсів. Крім того, вхід лічильника "С" можна закрити, подавши логічну одиницю на виведення 2 мікросхеми. Таким чином відпадає потреба в зовнішньому ключовому пристрої, що пропускає імпульси на вхід лічильника в період вимірювання. Вимкнути індикацію можна, подавши логічний нуль на висновок 3. Все це спрощує схему управління частотоміра.

Вхідний підсилювач виконаний на транзисторі VT1 за схемою ключа. Він перетворює вхідний сигнал імпульси довільної форми. Прямокутність імпульсів надає тригер Шмітта, що є на вході "С" мікросхеми. Діоди VD1-VD4 обмежують величину амплітуди вхідного сигналу. Генератор опорних сигналів виконано на мікросхемі CD4060B. У разі використання кварцового резонатора на частоту 32768 Гц з виведення 2 мікросхеми знімається частота 4 Гц, яка надходить на схему управління десяткового лічильника D2, що складається, і двох RS тригерів на мікросхемі D3. У разі використання резонатора на 16384 Гц (з китайських будильників) частоту 4 Гц необхідно буде знімати не з двох висновків мікросхеми, а з одного.

Мікросхему CD4060B можна замінити іншим аналогом типу хх4060 (наприклад, NJM4060). Мікросхему CD4017B можна замінити також іншим аналогом типу хх4017, або вітчизняною мікросхемою К561 ІЕ8, К176 ІЕ8. Мікросхема CD4001B прямий аналог наших мікросхем К561ІЕ5, К176ІЕ5. Мікросхему HFC4026BEY можна замінити її повним аналогом CD4026, але при цьому максимальна частота, що вимірюється, буде 2 МГц. Схема вхідного ула частотоміра примітивна, її можна замінити якимось досконалішим вузлом.

Ця стаття призначена для тих, хто не хоче "заморочуватися" з МК.

Кожен радіоаматор у процесі своєї творчої діяльності стикається з необхідністю обладнання своєї лабораторії необхідними вимірювальними приладами.
Одним із приладів – це частотомір. Хто має можливість, той купує готовий, а хтось і збирає свою конструкцію, за своїми можливостями.
Зараз багато різних конструкцій, виконаних на МК, але трапляються і на цифрових мікросхемах (як мовиться «гугл на допомогу!»).
Після «ревізії» у своїх засіках виявилося, що є цифрові мікросхеми серій 155, 555, 1533, 176, 561, 514ІД1(2) (проста логіка - ЛА, ЛЕ, ЛН, ТМ, середньої складності - ІЕ, ІР, ВД , ще 80-90 р.р. викидати їх - «жаба» задавила!), на яких можна зібрати не складний приладчик, з тих компонентів, які були під рукою в даний момент.
Захотілося просто творчості, тому розпочав розробку частотоміра.

Малюнок 1.
Зовнішній виглядчастотоміра.

Блок-схема частотоміра:

Малюнок 2.
Блок-схема частотоміра.

Вхідний пристрій-формувач.

Схему взяв із журналу «Радіо» 80-х (точно не пам'ятаю, але начебто як частотомір Бірюкова). Раніше повторював її, роботою був задоволений. У формувачі використана К155ЛА8 (впевнено працює на частотах до 15-20 мГц). При використанні в частотомір мікросхем 1533 серії (лічильники, вхідний формувач) робоча частота частотоміра становить 30-40 мГц.


Малюнок 3.
Вхідний формувач та ЗГ вимірювальних інтервалів.

Задає генератор, формувач вимірювальних інтервалів.

генератор, Що Задає, зібраний на годинниковій МС серії К176, зображений на малюнку №3 разом з вхідним формувачем.
Включення МС К176ІЕ12 типове, будь-яких відмінностей немає. Формуються частоти 32,768 кГц, 128 Гц, 1,024 кГц, 1 Гц. Використовується у НС лише 1 Гц. Для формування сигналу керуючого для ВУ ця частота ділиться на 2 (0,5 Гц) МС К561ТМ2 (CD4013A) (використовується один D-тригер).


Малюнок 4.
Сигнали інтервалів.

Формувач сигналів скидання лічильників КР1533ІЕ2 та запису в регістри зберігання К555ІР16

Зібраний на МС К555 (155) АГ3 (два чекають мультивібратора в одному корпусі), можна використовувати і дві МС К155 АГ1 (див. рис. № 3).
По спаду управляючого сигналу МС АГ3 перший ж/м формує імпульс Rom - записи регістри зберігання. По спаду імпульсу Rom формується другим ж/м імпульс скидання тригерів лічильників КР1533ІЕ2 Reset.


Малюнок 5.
Сигнал скидання.

Для вимірювання частоти зібраний блок на 2-х К555ІР16 і 4-х К555(155)ЛЕ1 (схемку знайшов на просторах інтернету, лише трохи підкоригував під себе і наявну елементарну базу).
Можна спростити частотомір і не збирати схему гасіння незначних нулів (на малюнку №9 зображено схему частотоміра без схеми гасіння незначних нулів), в цьому випадку просто світитимуться всі індикатори, дивіться самі, як Вам краще.
Я її зібрав тому, що мені просто так приємніше дивитись на табло частотоміра.


Малюнок 6. Схема гасіння незначних нулів.

Включення лічильників КР1533ІЕ2, регістрів К555ІР16, дешифраторів КР514ІД2 типове згідно документації.


Малюнок 7.
Схема включення лічильників та дешифраторів.

Весь НС зібрано на 5-х платах:
1, 2 - лічильники, регістри та дешифратори (на кожній платі по 4-і декади);
3 - блок гасіння незначних нулів;
4 - генератор, що задає, формувач вимірювальних інтервалів, формувач сигналів Rom і Reset;
5 – блок живлення.

Розміри плат: 1 та 2 - 70х105, 3 та 4 - 43х100; 5 – 50х110.


Малюнок 8.
Підключення схеми гасіння незначних нулів у частотомірі.

Блок живлення. Зібраний на двох МС 7805. Типове включення, як рекомендує завод-виробник. Для ухвалення рішення щодо блоку живлення були проведені виміри струму споживання НС, так само перевірялася можливість застосування ДБЖ та БП із ШІМ стабілізацією. Перевірялися: ДБЖ зібраний на TNY266PN (5В, 2А), БП з ШІМ на основі LM2576T-ADJ (5В, 1,5А). Загальне зауваження – НС працює не коректно, т.к. по ланцюгу живлення проходять імпульси із частотою роботи драйверів (для TNY266PN близько 130 кГц, для LM2576T-ADJ – 50 кГц). Застосування фільтрів велику зміну не виявили. Так, що зупинився на звичайному БП – транс, діодний міст, електроліти та дві МС 7805. Струм споживання всього НС (на індикаторах усі «8») близько 0,8А, коли індикатори погашені – 0,4А.


Малюнок 9.
Схема частотоміра без схеми гасіння незначних нулів.

У блоці живлення використовував дві МС 7805 для живлення НС. Одна МС стабілізатора живить плату вхідного формувача, блоку управління дешифраторами (гасіння незначних нулів) та однієї плати лічильників-дешифраторів. Друга МС 7805 - живить іншу плату лічильників-дешифраторів та індикатори. Можна бп зібрати і на одній 7805, але грітися буде пристойно, стане проблема з відведенням тепла. У НС можна застосовувати МС серій 155, 555, 1533. Все залежить від можливостей.




Малюнок 10, 11, 12, 13.
Конструкція частотоміра.

Можлива заміна: К176ІЕ12 (MM5368) на К176ІЕ18, К176ІЕ5 (CD4033E); КР1533ІЕ2 на К155ІЕ2 (SN7490AN, SN7490AJ), К555ІЕ2 (SN74LS90); К555ІР16 (74LS295N) можна замінити на К155ІР1 (SN7495N, SN7495J) (відрізняються одним висновком), або застосувати для зберігання інформації К555(155)ТМ5(7) (SN74LS77, SN74LS75); КР514ІД2 (MSD101) дешифратор для індикаторів з ОА, можна застосувати і КР514ІД1 (MSD047) дешифратор для індикаторів з ОК; К155ЛА8 (SN7403PC) 4 елементи 2І-НЕ з відкритим колектором- на К555ЛА8; К555АГ3 (SN74LS123) на К155АГ3 (SN74123N, SN74123J), або дві К155АГ1 (SN74121); К561ТМ2 (CD4013A) на К176ТМ2 (CD4013E). К555ЛЕ1 (SN74LS02).

P.S. Можна використовувати різні індикатори з ОА, тільки струм споживання на один сегмент не повинен перевищувати навантажувальної здатності дешифратора по виходу.

Нижче в архіві є всі необхідні файли та матеріали для збирання частотоміра.

Удачі всім і всього найкращого!

Конструктивно прилад складається з дисплея, утвореного сімома 7-сегментними світлодіодними індикаторами, мікроконтролера та кількох транзисторів та резисторів. Мікроконтролер виконує всі необхідні функції, тому застосування будь-яких додаткових мікросхем не потрібне.

Принципова схемаприладу досить проста і зображена на малюнку 2. Проект у форматі Eagle (принципова схема та друкована плата) доступний для завантаження в секції завантажень.

Завдання, що виконуються мікроконтролером, прості і очевидні: підрахунок кількості імпульсів на вході за 1 секунду і відображення результату на 7-розрядному індикаторі. Самий важливий моменттут - це точність генератора, що задає (тимчасова база), яка забезпечується вбудованим 16-розрядним таймером Timer1 в режимі очищення збігу (CTC mode). Другий 8-розрядний таймер-лічильник працює в режимі підрахунку кількості імпульсів на своєму вході T0. Кожні 256 імпульсів викликають переривання, обробник якого інкрементує значення коефіцієнта. Коли за допомогою 16-розрядного таймера досягається тривалість 1 с відбувається переривання, але в цьому випадку в обробнику переривання коефіцієнт множиться на 256 (зрушення вліво на 8 біт). Решта імпульсів, зареєстроване лічильником, додається до результату множення. Потім отримане значення розбивається на окремі цифри, які відображаються на окремому індикаторі у відповідному розряді. Після цього, безпосередньо перед виходом з обробника переривання, обидва лічильники одночасно скидаються і цикл повторюється. У «вільний час» мікроконтролер займається виведенням інформації на індикатор шляхом мультиплексування. У вихідному коді програми мікроконтролера автор дав додаткові коментарі, які допоможуть детально розібратися в алгоритмі мікроконтролера.

Дозвіл та точність вимірювань

Точність вимірювань залежить від джерела тактової частоти мікроконтролера. Сам собою програмний код може вносити похибку (додавання одного імпульсу) на високих частотах, але це практично не впливає на результат вимірювань. Кварцовий резонатор, який використовується у приладі, має бути гарної якостіта мати мінімальну похибку. Найкращим виборомбуде резонатор, частота якого ділиться на 1024, наприклад, 16 МГц або 22.1184 МГц. Щоб отримати діапазон вимірювання до 10 МГц, необхідно використовувати кварцовий резонатор на частоту 21 МГц і вище (для 16 МГц, як на схемі, діапазон вимірювань стає трохи нижче 8 МГц). Кварцовий резонатор на частоту 22.1184 МГц ідеально підходить для нашого приладу, проте придбання саме такого з мінімальною похибкою для багатьох радіоаматорів буде складним завданням. У такому випадку можна використовувати кварцовий резонатор на іншу частоту (наприклад, 25 МГц), але необхідно виконати процедуру калібрування генератора, що задає, за допомогою осцилографа з підтримкою апаратних вимірювань і підстроювального конденсатора в ланцюгу кварцового резонатора (Малюнок 3, 4).

У секції завантажень доступні для скачування кілька варіантів прошивок для різних кварцових резонаторів, але користувачі можуть скомпілювати прошивку під наявний резонатор кварцовий самостійно (див. коментарі у вихідному коді).

Вхідний сигнал

У загальному випадкуна вхід приладу може подаватися сигнал будь-якої форми з амплітудою 0...5, а не тільки прямокутні імпульси. Можна подавати синусоїдальний або трикутний сигнал; імпульс визначається за спадаючим фронтом на рівні 0.8 В. Зверніть увагу: вхід частотоміра не захищений від високої напругиі не підтягнутий до живлення, це вхід з високим опором, що не навантажує ланцюг, що досліджується. Діапазон вимірювань може бути розширений до 100 МГц із роздільною здатністю 10 Гц, якщо застосувати на вході відповідний високошвидкісний дільник частоти.

Дисплей

У приладі як дисплей використовуються сім світлодіодних 7-сегментних індикаторів із загальним анодом. Якщо яскравість індикаторів буде недостатньою, можна змінити номінал резисторів, що обмежують струм через сегменти. Однак не забувайте, що величина імпульсного струмудля кожного виведення мікроконтролера не повинна перевищувати 40 мА (індикатори теж мають свій робочий струм, про його величину не слід забувати). На схемі автор вказав номінал цих резисторів 100 Ом. Незначні нулі при відображенні результату вимірювання гасяться, що робить зчитування показань комфортнішим.

Друкована плата

Двостороння друкована плата має розміри 109×23 мм. У безкоштовній версії середовища проектування друкованих плат Eagle у бібліотеці компонентів немає семисегментних світлодіодних індикаторів, тому вони були намальовані автором вручну. Як видно на фотографіях (Малюнки 5, 6, 7) авторського варіанта друкованої плати, додатково необхідно виконати декілька з'єднань монтажним дротом. Одна з'єднання на лицьовій стороні плати - живлення на виведення Vcc мікроконтролера (через отвір у платі). Ще два з'єднання на нижній стороні плати, які використовуються для підключення висновків сегмента десяткової точки індикаторів у 4 та 7 розряді через резистори 330 Ом на землю. Для внутрішньосхемного програмування мікроконтролера автор використовував 6-вивідний роз'єм (на схемі це роз'єм зображений у вигляді складеного JP3 та JP4), розташований у верхній частині друкованої плати. Цей роз'єм не обов'язково припаювати до плати, мікроконтролер можна запрограмувати будь-яким доступним способом.

Завантаження

Принципова схема та малюнок друкованої плати, вихідний код та прошивки мікроконтролера

На основі описаного формувача імпульсів можна зібрати ще один прилад – частотомір. Призначення його відбито у назві – вимірювання частоти досліджуваного сигналу.


При надходженні на вхід елемента DD1.2 послідовності прямокутних імпульсів на виході формувача з'являється послідовність негативних імпульсів, тривалість яких залежить від ємності конденсаторів, підключених на даний момент до резистори R1 і входу елемента DD1.2. Протягом дії кожного негативного імпульсу через один із резисторів R2-R4 та мікроамперметр РА1 проходить струм. Після закінчення одного імпульсу до початку наступного стрілка механічної системи мікроамперметра за рахунок інерційності не встигає повертатися в початкове положення. Отже, що більше частота імпульсів, то більше вписувалося кут відхилення стрілки. Причому ця лінійна залежність, що значно полегшує калібрування приладу.

Діапазон частот, що вимірюються цим приладом (20...20000 Гц), розбитий на три піддіапазони: 20...200, 200...2000, 2000...20000 Гц. Піддіапазон виміру вибирається перемикачем SA1 і залежить від ємності підключеного конденсатора.

При калібруванні приладу на його вхід подають послідовність імпульсів з частотою, що відповідає найбільшій частоті піддіапазону, і підбором опору резисторів R2-R4 встановлюють стрілку кінцеву відмітку шкали.

Для зручності експлуатації як мікроамперметр РА1 використовувати авометр, включивши його в режим вимірювання постійного струмуна межі 100...150 мкА.

Перша конструкція частотоміра складається з мікроконтролера PIC16F84 та дільника частоти на 10 на лічильнику 193ІЕ2. Вибір потрібного діапазону відбувається здвоєним тумблером SA1. У першому положенні вхідний сигнал змінює дільник і відразу проходить на вхід мікроконтролера. Це дозволяє вимірювати частоту до 50 МГц.

Основою другої схеми частотоміра є емікроконтролер PIC16F84A, який за допомогою імпульсів зовнішнього сигналу обробляє отримані результати вимірювань і виведення їх на РК дисплей. Крім того, мікроконтролер періодично опитує кнопки (SB1-SB4) та керує живленням частотоміра.

Особливістю даної конструкції частотоміра на мікроконтролері є те, що вона працює разом із комп'ютером та приєднана до материнської плати через роз'єм IRDA. Від цього ж роз'єму конструкція отримує харчування

Ще одна схема частотоміра

Цей частотомір зроблений також на одній м.с, мінімум дискретних елементів і може виконувати такі вимірювання: частоти, періоду, відношення частот, часового інтервалу, рахунок (працювати як лічильник, що накопичує), проводити контроль від внутрішнього генератора.

Результати всіх вимірювань виводяться у цифровій формі на восьмирозрядному світлодіодному індикаторі. Максимальна частота 10 МГц. У інших режимах виміру максимальна вхідна частота -2,5 МГц.

Спростити електричну схемучастотоміра дозволяє використання відомої та популярної за кордоном недорогої мікросхеми типу 7216А. Вона являє собою універсальний декадний лічильник із вбудованим генератором, 8-розрядним лічильником даних із засувкою, дешифратором для 7-сегментного індикатора з вісьмома вихідними підсилювачами для світлодіодних індикаторів. Схема приладу зображено малюнку. На висновки 28 (канал I) або 2 (канал II) подають імпульсну вимірювану послідовність ТТЛ рівня. З висновків 4-7, 9-12 йде керування сегментами світлодіодних індикаторів. Висновки 15-17,19-23 використовуються для мультиплексного управління світлодіодними індикаторами, а висновки 15,19-23, крім того, використовуються для вибору діапазону та режиму вимірювань, з них сигнали через перемикачі та RC ланцюга подаються на висновки 14 та 3. 27 використовується для фіксації показань, а висновок 13 для скидання. Кварцовий резонатор з частотою 10 МГц підключають до висновків 25, 26. Прилад живиться від джерела +5 В (акумулятор, батарея сухих елементів, стабілізований мережевий блок), власне споживання ІМС вбирається у 5 мА, а максимальний струм світлодіодів може становити до 400 мА.

Прилад простий у експлуатації. Управління зводиться до вибору режиму роботи перемикачем SB4: Частотомір, Вимірювач періоду, Вимірювач відносин частот, Вимірювач часового інтервалу, Накопичувальний лічильник, Контроль, а також до вибору діапазону вимірювань перемикачем SB3 (по молодшому розряду): 1. 0,01 с/1 2. 0,1 с/10 Гц, 3. 1 с/100 Гц, 4. 10 с/1 кГц.

Крім мікросхеми 7216А в приладі використані резистори потужністю 0,125 Вт, конденсатори С1-СЗ, С6, С7 керамічні, світлодіодний індикатор збирається з восьми цифрових 7-сегментних індикаторів із загальним анодом АЛС321Б, АЛС33 Б, КВПЦ 01 Г. Кварц компактний на 10 МГц.

Для нормальної роботи схеми входи необхідно подавати сигнал ТТЛ рівня. Поріг перемикання по входах мікросхеми 2, тому для вимірювань малих сигналів вхід приладу потрібно підключити до виходу підсилювача-формувача, який може бути реалізований за будь-якою з відомих схем. Головне, щоб він з однаковим успіхом перетворював прямокутні імпульси як сигнали з частотою 1 Гц, так і 10 МГц. Бажано мати великий вхідний опір цього підсилювача. Під час розробки цієї схеми використовувалися дані виробника мікросхеми ICM7216A

У тематичний план гуртка 3-го року занять треба включити вивчення та конструювання пристроїв цифрової техніки підвищеної складності, наприклад, цифрового частотоміра.

Прикладом такого вимірювального приладу може стати п'ятирозрядний частотомір з цифровою індикацією результатів вимірювання, розроблений у радіогуртку станції юних техніків м. Березовський Свердловської області під керівництвом В. Іванова. Прилад дозволяє вимірювати частоту електричних коливань в межах 100...99999 Гц і може бути використаний для налаштування різних генераторів, електронного годинника, пристрої автоматики. Амплітуда вхідного сигналу - 1...30 ст.

Мал. 130. Структурна схемацифрового частотоміру

Структурна схема частотоміра показана на малюнку 130. Його основні елементи: формувач імпульсної напруги сигналу fх вимірюваної частоти, генератор зразкової (еталонної) частоти, електронний ключ, лічильник імпульсів з блоком цифрової індикації та керуючий пристрій, що організує роботу приладу. Принцип його дії заснований на вимірі числа імпульсів, що надходять на вхід лічильника протягом певного часу, рівного в даному приладі 1 с. Цей необхідний вимірювальний інтервал формується в блоці управління.

Сигнал fх, частоту якого треба виміряти, подають на вхід формувача імпульсної напруги. Тут він перетворюється на імпульси прямокутної форми, частота проходження яких відповідає частоті вхідного сигналу. Далі перетворений сигнал надходить на один із входів електронного ключа, А на другий вхід ключа подається сигнал вимірювального інтервалу часу, що утримує його у відкритому стані протягом 1с.

У результаті виході електронного ключа, отже, і вході лічильника з'являється пачка імпульсів. Логічне стан лічильника, в якому він виявляється після закривання ключа, відображає блок цифрової індикації протягом інтервалу часу, що встановлюється пристроєм керування.

Принципова схема частотоміра показана малюнку 131. Крім транзисторів, в частотомірі використовують вісім цифрових мікросхем серії К176 і п'ять (за кількістю розрядів) семисегментних люмінесцентних індикаторів типу ИВ-6. У мікросхему К176ІЕ12 (D1), призначену спеціально для електронного годинника, входить генератор (умовний символ G), розрахований на спільну роботу із зовнішнім кварцовим резонатором Z1 на частоту 32 768 Гц. Дільники частоти мікросхеми ділять частоту генератора до 1 Гц. Ця частота, що формується на з'єднаних разом висновках 4 і 7 мікросхеми, і є в частотомірі зразковою.

У мікросхемі К176ЛЕ5 (D2) чотири логічні елементи 2АБО-НЕ, а в мікросхемі К176ТМ1 (D3) -два D-тригери. Один з елементів 2АБО-НЕ виконує функцію електронного ключа (D2.4), а три інших та обидва D-тригери працюють у пристрої керування.

Кожна з мікросхем К176ІЕ4 (D4-D8) містить декадний лічильник імпульсів, тобто лічильник до 10, і перетворювач (дешифратор) її логічного стану сигнали управління семи-сегментним індикатором. на виходах а-дцих мікросхем формуються сигнали, щоб забезпечити індикаторам Н1 - Н5 світіння цифр, значення яких відповідає логічного стану лічильників. Мікросхема D4 та індикатор H1 утворюють молодший лічильний розряд, а мікросхема D8 та індикатор Н5 - старший лічильний розряд частотоміра.

У конструкції приладу індикатор Н5 д6лжен бути крайнім ліворуч, а H1 - крайнім праворуч.

Для живлення мікросхем, транзисторів і керуючих електродів індикаторів можна використовувати дві послідовно з'єднані батареї 3336Л (GB1), а для живлення ниток розжарення індикаторів - один елемент 343 або 373 (G1).

Формувач імпульсної напруги утворюють транзистори V2-V5. Сигнал fx, поданий на його вхід через гніздо X1, перемикач S1, конденсатор С1 і резистор R1, посилюється і обмежується амплітудою диференціальним каскадом на транзисторах V2 і УЗ. З резистора навантаження R5 сигнал надходить на базу транзистора V4 другого каскаду, що працює як інвертор. Резистор R8, що створює між цими каскадами позитивну зворотний зв'язокзабезпечує їм тригерний характер роботи. При цьому на колекторі транзистора V4 формуються імпульси з крутими фронтами і спадами, частота яких відповідає частоті досліджуваного сигналу. Каскад на транзисторі V5 обмежує напругу імпульсів рівня, що забезпечує мікросхемам необхідний режим роботи Далі перетворений сигнал надходить на вхідний висновок 12 електронного ключа D2.4. Другий вхідний висновок ключа підключений до виходу формувача вимірювального інтервалу часу, що дорівнює 1 с. Тому число імпульсів, які пройшли цей час через електронний ключ до лічильнику, висвічується індикаторами в одиницях Герц.

Мал. 132. Тимчасові діаграми, що ілюструють роботу керуючого пристрою частотоміра

Роботу керуючого пристрою ілюструють часові діаграми (рис. 132).

На вхід С (висновок 11) тригера D3.2 безперервно надходять імпульси генератора зразкової частоти (рис. 132,а), а на такий же вхід тригера D3.1 - імпульси генератора запуску, зібраного на логічних елементах D2.1 та D2.2 (Рис. 132, б). За вихідний приймемо випадок, коли обидва тригери перебувають у нульовому стані. У цей час напруга високого рівня, що діє на виході інверсному тригера D3.2, надходить на вхідний висновок 13 електронного ключа D2.4 і закриває його. З цього моменту через ключ припиняється проходження імпульсів сигналу частоти, що вимірюється на вхід лічильника. З появою на вході тригера D3.1 імпульсу генератора запуску цей тригер приймає одиничний стан і напругою високого рівня на прямому виході готує тригер D3.2 до подальшої роботи. Одночасно на виведенні 9 елемента D2.3, з'єднаному з інверсним виходом тригера D3.1, з'являється напруга низького рівня. Черговий імпульс генератора зразкової частоти перемикає тригер D3.2 одиничний стан. Тепер на його інверсному виході та на виведенні 13 елемента D2.4 буде напруга низького рівня, яка відкриває електронний ключ і тим самим дозволяє проходження через нього імпульсів сигналу частоти, що вимірювається.

Прямий вихід тригера D3.2 (висновок 13) з'єднаний з R-входом (висновок 4) тригера D3.1. Отже, коли тригер D3.2 виявляється в одиничному стані, він, впливаючи напругою високого рівня на прямому виході, перемикає тригер D3.1 в нульовий стан. Цей тригер знаходиться в нульовому стані до тих пір, поки зберігається інтервал вимірювального часу. Черговий імпульс генератора зразкової частоти на вході тригера D3.2 перемикає його в нульовий стан і напругою високого рівня на інверсному виході закриває електронний ключ. В результаті припиняється проходження імпульсів сигналу вимірюваної частоти до лічильника і починається цифрова індикація результатів вимірювання (132, (5, ж).

Кожному інтервалу вимірювального часу передує поява на висновках 5 R-входів мікросхем D4-D8 короткочасного імпульсу позитивної полярності (рис. 132 г), що скидає тригери лічильника в нульовий стан. З цього моменту і починається цикл рахунок – індикація роботи частотоміра. Формування імпульсів скидання відбувається на виході логічного елемента D2.3 в моменти збігу на входах напруг низького рівня. Час індикації можна змінювати плавно в межах 2...5 з резистором R17 генератора імпульсів запуску.

Світлодіод V7 колекторного ланцюга транзистора V6, що працює в режимі ключа, служить для візуального спостереження, за тривалістю часу індикації.

У частотомірі передбачена можливість контролю за його працездатністю. Для цього перемикач S1 переводять у положення "Контроль", при якому вхідний ланцюг приладу виявляється з'єднаною з виведенням 14 мікросхеми D1 генератора зразкової частоти. При роботі частотоміра індикатори повинні висвічувати частоту 32 769 Гц.

Мал. 133. Зовнішній вигляд частотоміра

Зовнішній вигляд описаного частотоміра показаний на малюнку 133. Через подовжений прямокутний отвір в лицьовій стінці корпусу, прикритий платівкою зеленого органічного скла, хо-
добре видно світяться цифри індикаторів. Зліва від отвору розташоване «вічко» світлодіодного індикатора V7. Під ним знаходиться змінний резистор R17 установки тривалості індикації результату вимірювання та вхідне гніздо X1. Зліва від них – вимикач живлення S2 («Я») та двосекційний перемикач S1 «Вимірювання-контроль». При натисканні на кнопку "K" (контроль) вхід формувача імпульсної напруги підключається до генератора зразкової частоти, а при натисканні на кнопку "І" (вимірювання) - до вхідного гнізда X1.

Інші деталі частотоміра змонтовані на двох друкованих платахрозмірами 115X60 мм, виконаних із фольгованого склотекстоліту товщиною 1 мм. На одній з них (рис. 134 а) знаходяться деталі формувача імпульсної напруги, генератора зразкової частоти і пристрої управління, на іншій (рис 134 б)-мікросхеми D4-D8 і цифрові індикатори H1- Н5. Усі постійні резистори типу МЛТ. Підстроювальний резистор R3 – СПЗ-16, змінний R17 може бути будь-якого типу. Оксидні конденсатори СЗ та С5-К50-6 або К53-1А, неполярні С1 та С8-К53-7 (можна замінити наборами конденсаторів типу К73-17). Конденсатори С2, С4 можуть бути типу КЛС або К73-17, С6 – керамічний КТ-1, КМ, підстроювальний конденсатор С7-КПК-МП. Перемикач S1 «Вимірювання-контроль» утворюють два кнопкові перемикачі П2К із залежною фіксацією в натиснутому положенні; вимикач живлення S2 - теж П2К, але без фіксації, тобто з поверненням у вихідне положення при повторному натисканні на кнопку.

Мікросхему К176ІЕ12 можна замінити на подібну до неї мікросхему К176ІЕ5, скоригувавши відповідно друковані провідники монтажної плати. Цифрові індикатори можуть бути типу ІВ-3А (замість ІВ-6), але тоді в ланцюг живлення їх ниток напруження треба буде включити резистор опором 2 Ом на розсіювання потужністю 0,5 Вт.

Налагодження безпомилково змонтованого частотоміра зводиться в основному до встановлення найкращої чутливості формувача імпульсної напруги і, якщо треба, до підлаштування генератора зразкової частоти. При встановленні необхідної чутливості на вхід частотоміра подають від генератора 34 сигнал з амплітудою 1, до виходу електронного ключа D2.4 підключають осцилограф і підстроювальний резистором R3 домагаються появи на екрані осцилографа пачок імпульсів. Підстроювання зразкової частоти генератора роблять: грубо - підбором конденсатора С6, точно - підстроювальний конденсатор С7. Точність налаштування контролюють за зразковим частотоміром, підключеним до висновку 14 мікросхеми D1.



Сподобалася стаття? Поділіться їй